您好, 访客   登录/注册

数字B超发射波束合成器设计与实现

来源:用户上传      作者: 彭勇

  摘要:在数字B中,发射波束合成器驱动超声探头产生超声信号,并通过对多通道超声信号发射时间的控制完成发射聚焦。该文结合实际应用,完成了一个32通道的发射波束合成器的硬件电路设计和发射控制器的FPGA实现。
  关键词:数字B超;发射波束合成;硬件电路设计;FPGA
  中图分类号:TP399 文献标识码:A 文章编号:1009-3044(2013)08-1962-03
  1 概述
  发射波束合成器是一个数字B超系统的重要组成部件,它通过驱动超声探头完成多通道超声信号的发射,并通过控制各通道信号发射时间的先后顺序完成超声波聚焦。因此,一个发射波束合成器由超声发射电路和发射控制器构成,发射控制器产生激励信号激励发射电路,发射电路产生多路高压脉冲驱动超声探头产生超声波。
  2 总体方案设计
  4 发射控制器设计
  发射控制器通过控制32对激励脉冲的发射时间的延迟关系完成超声波聚焦,需要控制高压开关和发射调制电路。
  4.1 发射激励聚焦设计
  4.2 高压开关控制
  5 结束语
  采用FPGA和硬件电路相结合的方式完成发射波束合成器,具有结构简单,易于扩展,灵活性高的特点,电路设计中尽可能采用集成器件具备较好的抗干扰性能,能很好满足应用需求。
  参考文献:
  [1] 冯若.超声诊断设备原理与设计[M].北京:中国医药科技出版社,1993.
  [2] 董常军.基于FPGA的数字化超声前端系统[D].成都.电子科技大学,2006.
  [3] 夏宇闻.Verilog数字系统设计教程[M].北京:北京航空航天大学出版社,2003.
  [4] 吴继华,王诚.Altera FPGA/CPLD 设计基础篇[M].北京:人民邮电出版社,2005.
转载注明来源:https://www.xzbu.com/8/view-5907551.htm